Scattering reduction through oblique multilayer deposition Marcus Trost,1,2,* Tobias Herffurth,1,2 Sven Schröder,1 Angela Duparré,1 and Andreas Tünnermann1,2 1

Fraunhoder Institute for Applied Optics and Precision Engineering, Albert-Einstein-Straße 7, 07745 Jena, Germany 2

Institute of Applied Physics, Abbe Center of Photonics, Friedrich-Schiller-University Jena, Max Wien Platz 1, 07743 Jena, Germany *Corresponding author: [email protected] Received 30 August 2013; revised 11 November 2013; accepted 11 November 2013; posted 18 November 2013 (Doc. ID 196704); published 19 December 2013

Scattering from multilayer coatings depends on the roughness of each interface as well as their crosscorrelation properties. By depositing thin film coatings under oblique incidence, the cross-correlation properties can be specifically adapted and consequently also the scattering characteristics. This will be illustrated for Mo/Si multilayers, for which a scattering reduction of more than 30% can be achieved. The characterization techniques used comprise of cross-sectional transmission electron microscopy, atomic force microscopy, and angle-resolved light scattering measurements at a wavelength of 13.5 nm. © 2013 Optical Society of America OCIS codes: (340.7480) X-rays, soft x-rays, extreme ultraviolet (EUV); (310.6860) Thin films, optical properties; (290.0290) Scattering. http://dx.doi.org/10.1364/AO.53.00A197

1. Introduction

Light scattering from multilayer coatings can be a critical and even limiting factor for certain applications since it reduces the optical throughput and also degrades the image contrast. Aside from material imperfections, interface roughness determines most of the scattering of thin film coatings [1,2]. Therefore, highly polished substrates and deposition processes with high adatom mobilities are commonly used to minimize interface roughness. There have also been numerous attempts to reduce roughness-induced light scattering, independent of the roughness properties. For instance, by shifting the stationary electric field inside the multilayer coating, the electric field strength and thus also the scattering at the rough interfaces can be reduced [3]. In another attempt, the scattering from uncoated 1559-128X/14/04A197-08$15.00/0 © 2014 Optical Society of America

substrates was successfully reduced by depositing an additional low-index layer on the substrate with an optical thickness of a quarter wavelength [4]. However, this technique is limited to specific designs (single layers and narrowband filters). Both methods also require additional layers on top of the original multilayer, which influence the specular reflectance and transmittance properties as well. In this paper, an alternative method for the reduction of scattering from multilayer coatings is presented, which does not rely on any modifications to the multilayer design or an exchange of the multilayer materials. This is achieved through an oblique multilayer deposition, which leads to a skewed roughness structure but does not influence the thickness of the individual layers. This oblique roughness structure changes the interference conditions of the individual scattered electric fields inside the multilayer stack and thus also the global scattering distribution. By specifically choosing the deposition angle, it is possible to reduce the overall scattering loss. 1 February 2014 / Vol. 53, No. 4 / APPLIED OPTICS

A197

ARSθs ; φs ; θi   

ΔPs θs ; φs ; θi  ΔΩs Pi N X N 1X Ci Cj PSDij;2D f x ; f y : (1) λ4 i0 j0

All properties of the perfect multilayer without roughness (layer thickness, dielectric functions, etc.) and the conditions of illumination and detection (polarization of the incident and scattered light and illumination θi , polar θs, and azimuthal φs scattering angles) are described by the optical factors Ci. The roughness properties of the individual interfaces (i  j) and their cross-correlation properties (i ≠ j) are described by two-dimensional (2D) power spectral density (PSD) functions [11], which represent the relative strength of each roughness component as a function of spatial frequencies f x and f y . The basic geometry and the nomenclature used are also shown in Fig. 1. In this figure, the angles β and δ are introduced as well, which describe the direction of the skewed roughness structure with respect to the macroscopic sample normal and the orientation to the incident plane of the light, respectively. A198

APPLIED OPTICS / Vol. 53, No. 4 / 1 February 2014

ϕs δ

x

N

y

i+1 i i-1

β ...

For optical smooth surfaces with an rms roughness σ < λ, the angle-resolved scattering (ARS), defined as the power ΔPs scattered into a solid angle ΔΩs normalized to the incident light power Pi, of a coating consisting of N layers can be calculated as follows [8–10]:

∆Ωs

θs

θi

...

2. Theoretical Background

Pi

...

Previous scattering experiments on obliquely grown multilayers showed an increase in the scattering loss for large deposition angles, and characteristic arc- and lobe-shaped anisotropic scattering patterns [5,6]. This was mainly due to a change from a densely packed columnar structure for thin films deposited under normal incidence to partially isolated needle-like columns for larger depositions angles. The increased voids mainly lead to the higher scattering levels [7]. Hence, in order to reduce the scattering from obliquely grown multilayers, it is necessary that the individual layers do not exhibit a pronounced columnar structure, which can however be achieved for a large range of materials and deposition processes. In this paper, magnetron sputtered multilayer coatings consisting of alternating layers of molybdenum and silicon are investigated; these do not exhibit a noticeable columnar growth under oblique deposition. The roughness evolution and roughness cross-correlation properties are analyzed using atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM) measurements of multilayer cross sections. The change in the scattering pattern due to an oblique roughness structure is also measured at a wavelength λ of 13.5 nm in the extreme ultraviolet (EUV) spectral range.

Fig. 1. Basic geometry for the definitions of specular quantities and scattering.

The link between spatial frequencies and scattering angles is given by the hemispherical grating equations: fx 

sin θs cos φs − sin θi ; λ

fy 

sin θs sin φs : (2) λ

Hence, for a given wavelength, the range of the possible scattering angles also limits the relevant spatial frequency range of the PSD. While the highq spatial frequency range between f 

f 2x  f 2y  1

and 50 μm−1 causes scattering into large angles at 13.5 nm, the mid-spatial-frequency roughness between f  0.001 and 1 μm−1 influences the near-angle scattering. Both bandwidth-limited rms-roughness values can be determined by numerical integration of the PSD. For the calculation of the individual interface and cross-correlation PSDs of the multilayer coating, HRTEM pictures are analyzed in Section 3.A, from which one-dimensional (1D) surface profiles, zi x, can be extracted. In order to retrieve a 2D PSD, under the assumption of isotropic roughness, the following procedure is applied: in the first calculation step, the individual cross- (i ≠ j) and auto (i  j) covariance functions are determined as 1 L→∞ L

Z

Cij τ  lim

L∕2

−L∕2

zi xzj x  τdx;

(3)

where τ represents the lag length and L the trace length. Since the covariance function and PSD form a Fourier transform pair [12,13], it is then possible to calculate the 1D two-sided PSD: Z PSDij;1D f x  



−∞

Cij τe−2πif τ dτ:

(4)

This 1D PSD can be transformed to a 2D PSD by using the inverse Abel transformation in the case of isotropic roughness [14]. This isotropy assumption was also checked by topography measurements made with AFM.

It is interesting to note that the auto-covariance functions (i  j) of the individual interfaces are always symmetric, which leads to purely real PSDs. All other covariance functions (i ≠ j), however, do not have to be necessarily symmetric and, as can be seen by Eq. (4), can result in complex cross-correlation PSDs; the imaginary part is antisymmetric in f and also among the two cross-correlation pairs PSDij and PSDji , which ensures that the ARS simulations according to Eq. (1) remain real. In order to describe the scattering loss from a multilayer in a similar way to an absorption loss, the encircled scattered energy around the specular beam is calculated as follows:

0

Z

85° 0

ARS θs ; φs  sin θs dθs dφs :

(5)

The asterisk indicates that the ARS values above the polar angle, θr , which is defined with respect to the specular beam, are neglected. According to the international standard ISO 13696 [15], the total scattering loss (TS) is given by TS  S85°  jθi j − S2°:

(6)

3. Experimental A.

Measurement of Auto- and Cross-Correlation PSDs

One approach to determine the roughness properties inside multilayer coatings is to measure the surface topography during different stages of the deposition process [16,17]. Since this enables 2D measurements of the surface topography, a detailed roughness analysis of the individual interface is possible. However, in order to also calculate the cross-correlation PSDs between different interfaces, the measurements would have to be performed strictly at the same positions. In a recent publication [18], it was shown that this is possible by adding reference points to the substrate surface with a microindenter and digital positioning of the topography images based on the maximum in their cross-correlation function. This however relies on a nonoblique growth of the reference marks and can be a very tedious task for a large number of layers. An alternative way is to indirectly determine the cross-correlation properties of single layers from diffuse and specular reflectance and transmittance spectra, as is shown in [19]. In the paper, we report on the determination of the auto- and cross-covariance functions and on PSDs from HRTEM measurements of Mo/Si multilayer cross sections. The coatings are designed for λ  13.5 nm and an angle of incidence of 10°. In Fig. 2, an exemplary HRTEM image is shown. The layer thickness is smaller than 4 nm. From this image the interface profiles were extracted using the algorithm described in [20]. This algorithm enables a subpixel edge localization, even for the poor signal-to-noise ratio at the interface. This allows

Fig. 2. Cross-sectional HRTEM image of Mo/Si multilayer.

the individual interface profiles to be sufficiently characterized while keeping the image section large enough so that spatial wavelengths, which influence the scattering of the multilayer, according to Eq. (2), are still resolved. The results of this analysis are shown in Fig. 3. In the inset, one of the profiles is shown in more detail, which illustrates the high resolving power of the HRTEM measurements and the efficiency of the edge-localization algorithm. The multilayer coating was deposited under an oblique deposition angle of α  −39.1°. This value can also be retrieved from the angle of the propagation of small imperfections throughout the individual interfaces, as indicated by the dashed lines. The experimentally determined multilayer growth angle is β  −22.2°, which supports the tangent rule for oblique multilayer deposition [21,22]: 1 tan β  tan α: 2

(7)

Because of the almost perfect replication of the underlying rough interface by each individual layer, it becomes obvious that the roughness properties, and thus also the scattering characteristics of the multilayer coating, critically depend on the substrate

74

z



73 72

100

200

300

400

500

z

Z Sθr  

β

Fig. 3. Extracted 1D interface profiles obtained from the HRTEM image in Fig. 2. For clarity only every second profile is plotted. The inset shows a zoomed view of one of the profiles. 1 February 2014 / Vol. 53, No. 4 / APPLIED OPTICS

A199

This behavior can be described by a partial crosscorrelation model [27]:

3

4

PSD2D (nm )

10

1

PSDij f   minPSDi f ; PSDj f :

-1

To the authors’ knowledge, this is in fact the first direct experimental proof of this general correlation model. Also for all other cross-correlation combinations the partial correlation model is perfectly suited, as can be observed in Fig. 6. Here the merit function

10

10

-3

10

AFM HRTEM

-5

10

0.1

1

10

100

mi; j 

Fig. 4. Top surface PSD of Mo/Si multilayer obtained from AFM and HRTEM measurements (f y  0 μm−1 ).

roughness. Therefore, several different lightscattering-based approaches have been developed over the last years to nondestructively characterize the substrate roughness, even of large and complex-shaped substrate geometries [23–26]. Based on the 1D interface profiles from the HRTEM image, all auto- and cross-covariance functions as well as the corresponding 2D PSD functions were calculated according to Eqs. (3) and (4). In a first calculation step, the oblique multilayer growth was neglected by shifting the individual surface profiles; exemplary results of these calculations are shown in Figs. 4 and 5. The strong fluctuations are caused by the limited amount of data (1D instead of 2D profiles) and the fact that the transmission electron microscopy picture is not obtained over a noninfinitesimal small depth. However, by keeping the sample thickness to a few tens of nanometers, the resulting average line profile only influences the high spatial frequencies, which are not of concern for the later application wavelength. Comparative AFM measurements of the top surface PSD show a good agreement, as illustrated in Fig. 4. As depicted in Fig. 5, the individual interfaces become slightly rougher and thus also the corresponding PSDs grow slightly with increasing interface number. The measured cross-correlation PSD almost perfectly follows the lower interface PSD.

3

10

2

4

PSD2D (nm )

10

1

10

0

10

PSDi=1,

-1

10

PSDj=33 Re(PSDij)

-2

10

1

10

100 -1

fx (µm ) Fig. 5. Interface and cross-correlation PSDs (f y  0 μm−1 ). A200

Z

1000

-1

fx (µm )

APPLIED OPTICS / Vol. 53, No. 4 / 1 February 2014

f 100 μm−1 f 2 μm−1

(8)

j log PSDij;measured f 

− log PSDij;model f jdf

(9)

between the actually measured cross-correlation PSDs and different model PSDs is shown. In particular, for widely separated interfaces, a better agreement between experimental and theoretical PSDs can be achieved for the partial cross-correlation model compared to the two other cross-correlation models. If the oblique multilayer growth is now taken into account in the calculation of the roughness properties, the individual covariance functions are shifted according to the multilayer growth angle and the distance between the interfaces, zi − zj . This leads to the following phase term in the cross-correlation PSDs [10,28,29]: PSDij;oblique f   PSDij;normal f e−2πi× f zi −zj  tan β cosφs δ : (10) Hence, the partial cross-correlation model can still be used for scattering simulations of obliquely deposited thin films if the correct phase term is added. B. Scattering Reduction

For the study of the scattering reduction effect, two periodic molybdenum silicon multilayers consisting of 50 layer pairs optimized for an angle of incidence of 10° were deposited by magnetron sputtering onto two superpolished silicon wafers. Only the deposition angle was varied from α  0° to α  −30° between both samples. According to Eq. (7), this results in a multilayer growth angle of β  16.1° for the obliquely deposited multilayer. In Fig. 7, AFM measurements of both multilayer top surfaces are shown. No indications for an oblique multilayer growth can be observed from these topographies. There exists also no significant difference in the roughness values. Further scans of the surface topography of both samples with measurement areas up to 50 μm × 50 μm were done as well. Also, over the extended spatial frequency range, no significant difference between the top surface PSDs of both samples occurs. This shows that an oblique multilayer deposition does not necessarily have to lead to a degradation of the roughness of the individual interfaces inside the multilayer stack.

PSDmodel (f)=min[PSDi (f ),PSDj (f )]

PSDmodel (f )=mean[PSD i(f ),PSD j(f )]

PSD model(f )=max[PSDi (f ),PSDj (f )]

m/mmax

50

50

50

1

40

40

40

0.8

30

30

30

0.6

20

20

20

0.4

10

10

10

0.2

j i

10

20

30

40

50

10

20

30

40

50

10

20

30

40

50

0

Fig. 6. Deviation between experimentally determined cross-correlation PSDs and model PSDs. All three plots are normalized to the same maximum deviation mmax .

α = 0°

α = -30°

3.0 nm

1.5 nm

σ = 0.14 nm

σ = 0.16 nm

0 nm

Fig. 7. Top surface topography of Mo/Si multilayers deposited under different deposition angles (measurement area: 1 μm × 1 μm).

4

10

Meas. / Sim. α = 0°, δ = 0°

2

α = -30°, δ = 0° α = -30°, δ = 90°

-1

ARS (sr )

10

/ /

0

10

-2

10

-4

10

-30

-20

-10

0

10

20

30

θs (°) Fig. 8. ARS measurement and simulations (φs  0°) at 13.5 nm of Mo/Si multilayer deposited under normal and oblique incidence.

In Fig. 8, the results of ARS simulations and measurements performed at 13.5 nm of both samples with our light-scattering measurement system MERLIN are shown. The instrument is based on a compact Xe discharge plasma source and enables both ARS and reflectance measurements [30]. A dynamic range of more than seven orders of magnitude and a noise-equivalent ARS level of 10−3 sr−1 has been achieved. Along the direction of the obliquely grown multilayer (δ  0°) the scattering is significantly reduced. If the skewed roughness structure of the coating is aligned perpendicular to the measurement plane (δ  90°), no difference can be observed to the normally deposited coating. This is

expected, because in this direction the scattered light does not “see” the oblique multilayer structure. The scattering distribution of both multilayers can also be precisely modeled for both deposition angles, as is demonstrated by the good agreement between simulations and measurements. The deviations close to the specular beam are due to the instrument signature, which results from scattered light of the beam preparation optics. At large scattering angles, measurements and simulations begin to deviate from each other because the noise level is reached in the measurements. The individual interface PSDs, which are necessary for the simulation of the scattering distribution [see Eqs. (1) and (8)], are modeled with a stochastic roughness evolution model [31], based on the substrate and top surface PSD, as described in [1,2,16]. In Fig. 9, the 3D-ARS simulations of both samples are shown. These plots illustrate how part of the scattered light is redistributed in the case of the obliquely deposited multilayer, which leads to a scattering reduction close to the specular beam and also reduction of the overall scattering loss, as can be observed from the corresponding TS values. Only in the direction perpendicular to the plane of incidence (φs  90°) does the scattering distribution remain unchanged. For the obliquely deposited multilayer, an arc-shaped scattering distribution can be observed. This, however, does not change the encircled energy around the specular reflex significantly, as shown in Fig. 10. Compared to the normally deposited multilayer, a decreased encircled scattered energy can be observed for the obliquely deposited multilayers, which becomes more pronounced for larger multilayer growth angles. For the obliquely deposited Mo/Si multilayer under α  −30° and −60°, the scattering reduction is 31% and 70%, respectively. However, as can be observed in the case of α  30°, the encircled energy can also increase and thus critically depends on the orientation of the obliquely grown multilayer structure to the incident beam. But, by rotating the mirror by 180°, this orientation can be easily changed so that is possible to switch between scattering enhancement and reduction. In the case of α  60°, a scattering reduction is achieved for both kinds of 1 February 2014 / Vol. 53, No. 4 / APPLIED OPTICS

A201

ϕ=-90°

α = 0°

-1

ARS (sr )

α = -30°

ϕ= -90°

-1

ARS (sr )

-1

-1

10

10

10-3

-3

10

ϕ= 0°

ϕ= 0° -5

10

10-7

10-7

θs

TS = 0.66 % 0°

30°

60°

-5

10

90°

TS = 0.46 % 0°

θs 30° 60° 90°

Fig. 9. 3D-ARS simulation (δ  0°) of Mo/Si multilayer deposited under normal and oblique incidence.

orientations. However, since an oblique multilayer deposition can also change the thin film density [32] and thus the optical properties of the coating, it remains to be seen if the deposition parameters can be adapted so that this can be avoided in order to not influence the specular reflectance. Nonetheless, by choosing appropriate deposition parameters, this was not a concern in the experiment for a deposition angle of 30°. Both the roughness (Fig. 7) and the measured in-band EUV reflectance under a polarization ratio of 70% between s-polarized and p-polarized light [30] do not differ significantly between the normally and obliquely deposited coatings. The measured reflectance values are 58.35  0.30% and 58.32  0.31% for the obliquely and normally deposited coatings, respectively. Due to the low substrate roughness of the Si wafer, the observed scattering loss of TS  0.67% of the normally deposited multilayer can be attributed to the intrinsic thin film roughness. Even with a substrate that exhibits no roughness, the scattering loss could not be significantly reduced for this multilayer, as is shown in [1]. So, with the oblique deposition technique, it is even possible to get below the typical scattering loss of the coating. An interesting question that still remains is whether the reduced scattering also lead to an increase in the specular reflection. In order to answer this question, and not being limited by the uncertainty of the reflectance measurements, a further

0.6

∆TS = 70%

S (%)

0.5

∆TS = 31%

α = -60° α = -30° α = 0° α = 30° α = 60°

0.7

Mo/Si multilayer was deposited under the same deposition conditions (α  −30°, angle of incidence 10°, 50 layer pairs). However, this time an additional Cr layer with a thickness of 70 nm was deposited on the Si wafer prior to the deposition of the multilayer coating in order to increase the substrate roughness. Figure 11 shows the corresponding AFM images of the surface topography after the deposition of the Cr-layer and the entire multilayer stack. Due to the high roughness of the Cr-layer, the Mo/Si multilayer smoothens the surface roughness in the high spatial frequency range, as can be observed in the corresponding PSD functions. Only around f  1 μm−1 does an enhanced surface roughness occur due to intrinsic thin film roughness. Analogous to the obliquely deposited Mo/Si multilayer coatings without a Cr layer, ARS measurements at 13.5 nm were performed for this rougher multilayer for different orientations of the oblique interface structure to the plane of incidence (δ  0°, 90°, 180°, 270°). While the scattering distribution was the same for δ  90° and 270°, as is expected, the same relative scattering reduction as for the Mo/Si multilayer coating without a Cr layer (see Fig. 8) has been observed for δ  0°. This could also be precisely simulated based on the PSDs from Fig. 11. Compared to a multilayer which exhibits the same rough interfaces but is deposited under normal incidence, a scattering reduction of more than 30% could also be achieved for a deposition angle of α  −30°.

0.4 0.3 0.2 0.1 0.0 0.1

1

10

100

θr (°)

Fig. 10. Encircled energy around specular reflex for different deposition angles. A202

APPLIED OPTICS / Vol. 53, No. 4 / 1 February 2014

Fig. 11. PSD and AFM topography images of Mo/Si multilayer top surface and substrate after deposition of additional Cr layer.

The TS from the 3D scattering simulations is 3.0% (δ  0°) and 4.3% (δ  180°) in the case of a deposition angle of α  −30°. The experimentally determined reflectance values at 13.5 nm for these two configurations are R  54.26  0.29% for δ  0° and R  54.32  0.30% for δ  180°. So, although the scattering is drastically changed for these two configurations, the reflectance remains almost unchanged. Thus, an oblique multilayer deposition does not necessarily lead to an enhanced reflectance. From the energy balance, the reduced scattered light is thus either transmitted or trapped in the multilayer coating due to total internal reflection. However, because of the short penetration depth of the EUV radiation, this light will finally be absorbed after several hundred nanometers in both cases. Whether this kind of behavior is always true, of course, cannot be generalized from this single experiment and might be different for other multilayer designs, incidence angles, and deposition angles. However, by comparing the reflectance and scatter loss for two different configurations of the same multilayer coating, instead of comparing two different multilayer coatings (deposited under normal and oblique incidence), many uncertainties, like deviations in the multilayer thickness, can be avoided. 4. Discussion and Conclusion

Depositing a multilayer coating under oblique incidence allows the roughness cross-correlation properties to be specifically tailored inside the multilayer stack, while the individual interfaces are not necessarily altered. It was shown that this can be used to reduce or enhance the scattering from Mo/Si multilayer coatings for a wavelength of 13.5 nm. For deposition angles of −30° and −60° the TS can, for instance, be reduced by 31% and 70%, respectively, if the direction of the oblique multilayer structure faces toward the incident light beam. This even allows a scattering loss below 0.7%, which is often a lower boundary for Mo/Si multilayer coatings: such a low scattering loss results from just the intrinsic thin film roughness and usually requires a perfect substrate without any roughness. Another interesting aspect is that the reduced scattering already occurs close to the specular reflex and not at large scattering angles, which is of particular importance for imaging optics. Part of the reduced scattered light is redistributed at large scattering angles in the form of an arcshaped haze. Since the position of this haze can, however, be adapted by the deposition angle, this light can easily be blocked by an aperture. By using a multilayer scattering theory and a partial cross-correlation model, it is also possible to predict the scattering distribution and scattering losses for different deposition angles very precisely. This allows the scattering of particular components in an optical system to be specifically minimized. The authors are grateful to their colleagues at the Fraunhofer IOF, especially Matthias Hauptvogel,

Lars Mejnertsen, Alexander V. Finck, Christian Wiede, Elard Niemüller, Torsten Feigl, Hagen Pauer, and Marco Perske for interesting discussion, contributing to measurements, and sample preparation. We are also very grateful to Christian Bräuer-Burchardt (Fraunhofer IOF) for extending our multilayer interface detection algorithm as well as to Johannes Biskupec and Ute Kaiser (University of Ulm) for performing the HRTEM measurements. References 1. M. Trost, S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “Influence of the substrate finish and thin film roughness on the optical performance of Mo/Si multilayers,” Appl. Opt. 50, C148–C153 (2011). 2. S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates,” Opt. Express 15, 13997–14012 (2007). 3. J. H. Apfel, “Optical coating design with reduced electric field intensity,” Appl. Opt. 16, 1880–1885 (1977). 4. C. Amra, G. Albrand, and P. Roche, “Theory and application of antiscattering single layers: antiscattering antireflection coatings,” Appl. Opt. 25, 2695–2702 (1986). 5. I. J. Hodgkinson, P. I. Bowmar, and Q. H. Wu, “Scatter from tilted-columnar birefringent thin films: observation and measurement of anisotropic scatter distributions,” Appl. Opt 34, 163–168 (1995). 6. I. J. Hodgkinson, S. C. Cloughley, Q. H. Wu, and S. Kassam, “Anisotropic scatter patterns and anomalous birefringence of obliquely deposited cerium oxide films,” Appl. Opt. 35, 5563–5568 (1996). 7. S. Kassam, I. J. Hodgkinson, Q. H. Wu, and S. C. Cloughley, “Light scattering from thin films with an oblique columnar structure and with granular inclusions,” J. Opt. Soc. Am. A 12, 2009–2021 (1995). 8. P. Bousquet, F. Flory, and P. Roche, “Scattering from multilayer thin films: theory and experiment,” J. Opt. Soc. Am. 71, 1115–1123 (1981). 9. J. M. Elson, J. P. Rahn, and J. M. Bennett, “Light scattering from multilayer optics: comparison of theory and experiment,” Appl. Opt. 19, 669–679 (1980). 10. C. Amra, “Light scattering from multilayer optics. I. Tools of investigation,” J. Opt. Soc. Am. A 11, 197–210 (1994). 11. A. Duparré, J. Ferre-Borrull, S. Gliech, G. Notni, J. Steinert, and J. M. Bennett, “Surface characterization techniques for determining the root-mean-square roughness and power spectral densities of optical components,” Appl. Opt. 41, 154–171 (2002). 12. E. L. Church, H. A. Jenkinson, and J. M. Zavada, “Relationship between surface scattering and microtopographic features,” Opt. Eng. 18, 125–136 (1979). 13. J. C. Stover, Optical Scattering: Measurement and Analysis, 3rd ed. (SPIE, 2012). 14. E. L. Church and P. Z. Takacs, “The optimal estimation of finish parameters,” Proc. SPIE 1530, 71–85 (1991). 15. International Organization for Standardization, “Optics and optical instruments: test methods for radiation scattered by optical components,” ISO 13696:2002 (2002). 16. M. Trost, S. Schröder, C. C. Lin, A. Duparré, and A. Tünnermann, “Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering,” Proc. SPIE 8501, 85010F (2012). 17. S. Schröder, H. Uhlig, A. Duparré, and N. Kaiser, “Nanostructure and optical properties of fluoride films for high-quality DUV/VUV optical components,” Proc. SPIE 5963, 59630R (2005). 18. J. V. Grishchenko and M. L. Zanaveskin, “Investigation into the correlation factor of substrate and multilayer film surfaces by atomic force microscopy,” Crystallogr. Rep. 58, 493–497 (2013). 19. D. Rönnow, “Determination of interface roughness cross correlation of thin films from spectroscopic light scattering measurements,” J. Appl. Phys. 81, 3627–3636 (1997). 1 February 2014 / Vol. 53, No. 4 / APPLIED OPTICS

A203

20. C. Bräuer-Burchardt, S. Schröder, M. Trost, P. Kühmstedt, A. Duparré, and G. Notni, “Roughness determination of ultra thin multilayer coatings in cross-section images with poor SNR using edge localization,” Proceedings of the 6th International Symposium on Image and Signal Processing and Analysis, 2009, pp. 176–181. 21. J. M. Nieuwenhuizen and H. B. Haanstra, “Microfractography of thin films,” Philips Tech. Rev. 27, 87–91 (1966). 22. H. A. Macleod, “Structure-related optical properties of thin films,” J. Vac. Sci. Technol. A 4, 418–422 (1986). 23. M. Trost, S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “Roughness characterization of large EUV mirror optics by laser light scattering,” Proc. SPIE 8169, 81690P (2011). 24. S. Schröder, M. Trost, T. Feigl, J. E. Harvey, and A. Duparré, “Efficient specification and characterization of surface roughness for extreme ultraviolet optics,” Proc. SPIE 7969, 79692C (2011). 25. M. Trost, S. Schröder, A. Duparré, S. Risse, T. Feigl, U. D. Zeitner, and A. Tünnermann, “Structured Mo/Si multilayers for IR-suppression in laser-produced EUV light sources,” Opt. Express 21, 27852–27864 (2013).

A204

APPLIED OPTICS / Vol. 53, No. 4 / 1 February 2014

26. T. Herffurth, S. Schröder, M. Trost, A. Duparré, and A. Tünnermann, “Comprehensive nanostructure and defect analysis using a simple 3D light-scatter sensor,” Appl. Opt. 52, 3279–3287 (2013). 27. J. Ferré-Borrull, A. Duparré, and E. Quesnel, “Roughness and light scattering of ion-beam-sputtered fluoride coatings for 193 nm,” Appl. Opt. 39, 5854–5864 (2000). 28. E. M. Gullikson and D. G. Stearns, “Asymmetric extreme ultraviolet scattering from sputter-deposited multilayers,” Phys. Rev. B 59, 13273–13277 (1999). 29. D. G. Stearns and E. M. Gullikson, “Nonspecular scattering from extreme ultraviolet multilayer coatings,” Physica B 283, 84–91 (2000). 30. S. Schröder, T. Herffurth, M. Trost, and A. Duparré, “Angleresolved scattering and reflectance of extreme-ultraviolet multilayer coatings: measurement and analysis,” Appl. Opt. 49, 1503–1512 (2010). 31. D. G. Stearns, D. P. Gaines, D. W. Sweeney, and E. M. Gullikson, “Nonspecular x-ray scattering in a multilayercoated imaging system,” J. Appl. Phys. 84, 1003–1028 (1998). 32. J. A. Thornton, “The microstructure of sputter-deposited coatings,” J. Vac. Sci. Technol. A 4, 3059–3065 (1986).

Scattering reduction through oblique multilayer deposition.

Scattering from multilayer coatings depends on the roughness of each interface as well as their cross-correlation properties. By depositing thin film ...
921KB Sizes 2 Downloads 0 Views